なまけもの日記

人生のメモ帳

ディジタル数値演算回路の実用設計

ディジタル数値演算回路の実用設計
Verilog浮動小数点演算と固定小数点演算を実装中.この書籍が一番参考になりました.Verilogのデータや内容見本のPDFも公開されているので非常に参考になります.



ディジタル数値演算回路の実用設計
画像処理や音声処理,暗号処理などには欠かせない数値演算回路設計についての解説書です.本書では数値演算回路として,加減算回路,乗算回路,除算回路,浮動小数点演算回路,初等超越関数を取り上げます.また,応 ...